echemi logo
Product
  • Product
  • Supplier
  • Inquiry
    Home > Chemicals Industry > New Chemical Materials > Full analysis of photoresist classification, upstream and downstream markets, companies, and development trends

    Full analysis of photoresist classification, upstream and downstream markets, companies, and development trends

    • Last Update: 2021-06-11
    • Source: Internet
    • Author: User
    Search more information of high quality chemicals, good prices and reliable suppliers, visit www.echemi.com
    Photoresist is mainly used for the processing of fine circuit patterns in the field of microelectronics.
    It is one of the most critical materials in the field of micromanufacturing and plays a very important supporting role in the development of the industrial chain.
    1.
    Overview of photoresist 1.
    1 Introduction to photoresist Photoresist (Photoresist for short), also known as photoresist, is an organic compound that is sensitive to light and is used for fine pattern processing.
    The main components of photoresist include resins, monomers, photoinitiators and additives.
    The resin content accounts for about 50% to 60% of the main components, and the monomer content accounts for about 35% to 45%.
    Resin: The main raw material of photoresist, a special polymer with photosensitivity and ability sensitivity, generally a macromolecule composed of carbon, hydrogen and oxygen.
    The curing reaction can occur quickly in the exposed area after light exposure, and the solubility, affinity, etc.
    will change significantly, and the image can be obtained by processing with an appropriate solvent.
    Monomer: Also known as reactive diluent, it can regulate the photochemical reaction initiated by light.
    Photoinitiator: Also known as photosensitizer or photocuring agent, it is a kind of molecules that can absorb a certain wavelength of energy from light and produce active intermediates with the ability to initiate polymerization through photochemical reactions.
    The products of this type of chemical reaction can further react with other substances in the photoresist to help complete the photolithography process.
    Other additives: control and change specific chemical substances of photoresist materials, such as pigments.
    1.
    2 Classification of photoresist 1.
    According to the development principle, photoresist can be divided into: Positive photoresist: the exposed part is dissolved by the developer after exposure, and the resolution is high.
    Negative photoresist: The unexposed part is dissolved by the developer, which is relatively cheap.
    2.
    According to the chemical structure of its photosensitive resin, it can be divided into: Photopolymerization type: using vinyl monomers, generate free radicals under light, further initiate monomer polymerization, and finally generate polymers, which can be made into negative glue.
    Photodegradable type: using materials containing quinone azide compounds, after exposure to light, a photodecomposing reaction occurs, changing from oil-soluble to water-soluble, and can be made into a positive glue.
    Light cross-linking type: Using polyvinyl alcohol laurate as the photosensitive material, under the action of light, an insoluble network structure polymer is formed, which can be made into a negative adhesive.
    3.
    Classified according to application areas: 1.
    3 The upstream, midstream and downstream photoresists of photoresist are finally used in semiconductors, flat panel displays, PCBs and other fields, and the production difficulty is gradually reduced.
    PCB photoresist barriers are relatively low, and semiconductor photoresist represents the most advanced level of photoresist technology.
    2.
    Global market situation In 2019, the global market size was 9.
    1 billion U.
    S.
    dollars.
    The main components include PCB photoresist, LCD photoresist and semiconductor photoresist.
    The corresponding market shares are 25%, 27% and 24%.
    2.
    1 In the field of LCD photoresist, Japanese and Korean companies have an oligopoly in the flat panel display industry.
    The main photoresists used are color and black photoresist, LCD touch screen photoresist, TFT-LCD positive photoresist, etc.
    The global supply of LCD photoresist is concentrated in Japan, South Korea, Taiwan and other regions, and overseas companies account for more than 90% of the market.
    The core technologies of color photoresist and black photoresist are basically monopolized by Japanese and Korean companies.
    2.
    2 In the field of semiconductor photoresist, photoresist is a high-tech barrier material, with complex production processes and high purity requirements, requiring long-term technical accumulation.
    The global semiconductor photoresist market is basically monopolized by foreign giants.
    Some Japanese manufacturers such as Japan Synthetic Rubber (JSR) and Tokyo Ohka have the ability to supply EUV extreme ultraviolet photoresist for semiconductor processes below 10nm.
    China has only a very small share of the semiconductor photoresist market.
    2.
    3 PCB field China occupies a dominant position in the global PCB photoresist market, with a market share of approximately 93.
    5%.
    3.
    my country’s market situation In terms of market demand, data show that China’s photoresist sales reached RMB 8.
    14 billion in 2019, and China’s market size accounts for almost 15% of the global total.
    In 2020, my country’s photoresist market will be about 8.
    5 billion yuan.
    In the semiconductor field, the KrF/ArF photoresist used in 8 inch/12 inch wafers is a key consumable for the manufacture of deep sub-micron and even nano-level devices.
    The localization rate of KrF photoresist is less than 5%, and only two to two.
    The three products have achieved a small amount of substitution, mainly concentrated in 0.
    18-0.
    With 25um process line width, ArF photoresist is still completely imported, and EUV photoresist is in a blank state in the industry.
    In the field of high-end semiconductor photoresist, there is a gap of nearly 40 years between my country and the world's advanced level.
    Domestic LCD photoresist companies are making rapid progress.
    In terms of enterprises, Jingrui Co.
    , Ltd.
    and Beijing Kehua both have layouts in TFT photoresist and photoresist for touch screens.
    Rongda Sensitivity has also produced small batches of color photoresists.
    In addition, Ark Technology, Feikai Materials, Xin Yihua et al.
    have layouts in LCD photoresist and have mass-produced them.
    Domestic local enterprises have certain market competitiveness, but there is still room for continuous expansion of localization in the field of photoresist for circuit etching.
    So far, my country's low-end photoresist products have a place in the world, but the high-end photoresist is basically dependent on imports.
    Looking at the domestic photoresist market, although the localization rate of photoresist for printed circuit boards has reached 50%, the localization of photoresist in the semiconductor and display fields is slow, especially the high-end photoresist in the semiconductor field.
    It has become an industrialization problem that needs to be overcome urgently in China.
    4.
    Introduction of some major domestic companies Qiangli New Materials was established in 1997 and went on the market in 2015.
    It is one of the few domestic manufacturers of special photoresist chemicals.
    Its product capacity is concentrated in the PCB photoresist special chemicals sector.
    Jingrui Co.
    , Ltd.
    Jingrui Co.
    , Ltd.
    was established in 2001 and went public in 2017.
    It focuses on the two directions of pan-semiconductor materials and new energy materials.
    Its leading products include photoresist and supporting materials, ultra-clean and high-purity reagents, lithium battery materials and basic chemical materials.
    Wait.
    Supply photoresist types: UV negative photoresist, wide-plain positive resin, and some g-line and i-line positive resins.
    Jingrui's designed annual production capacity of photoresist and supporting materials is 8,100 tons, with a utilization rate of 93.
    95%.
    Jingrui's operating income in 2020 is 1.
    022 billion yuan, a year-on-year increase of 35.
    28%; net profit is 76.
    951 million yuan, a year-on-year increase of 145.
    72%.
    Rongda Sensitivity was established in 1996 and went on the market in 2016.
    It supplies photoresist types: PCB wet film, PCB photosensitive solder resist ink, photoresist for flat panel display, photoresist for integrated circuit, photolithography for semiconductor lighting The main revenue is in the field of PCB photoresist.
    Due to the relatively low technical difficulty of PCB photoresist and the basic domestic substitution except for dry film, its gross profit margin is relatively low.
    Xin Yi Hua Xin Yi Hua was established in 2013 and is located in Fuyang Hefei Modern Industrial Park.
    It mainly focuses on the semiconductor, display and medical industries.
    It can provide high-quality electronic materials (liquid crystal monomers, panel display photoresists, OLED materials, etc.
    ), Medical materials and cutting-edge materials, with the production capacity of color photoresist (RGB), black photoresist (BM), transparent protective layer photoresist (OC), spacer photoresist (PS) and other products.
    In 2020, semiconductor display photoresist production capacity will reach 4,000 tons (expandable to 8,000 tons).
    Beijing Kehua Beijing Kehua Microelectronics Materials Co.
    , Ltd.
    is a Sino-US joint venture established in 2004.
    It is a product covering KrF (248nm), I-line, G-line, UV broad-spectrum photoresist and supporting reagents Suppliers and service providers are also high-tech enterprises with independent intellectual property rights that integrate the research, production and sales of advanced photoresist products.
    Beijing Kehua’s 6-inch G-line and I-line have a higher market share; 8-inch and 12-inch I-line and KrF have breakthroughs, and the current share is relatively small.
    Nanda Optoelectronics Nanda Optoelectronics is a high-tech enterprise mainly engaged in the production, research and development and sales of advanced precursor materials, electronic special gases, photoresist and supporting materials.
    With leading production technology, strong R&D and innovation strength and solid industrialization capabilities, it has broken the long-term foreign monopoly in the industry from multiple levels.
    The layout of the three key semiconductor materials of precursor, electronic special gas, and photoresist has basically taken shape, breakthroughs have been made in the research and development of key technologies, and the industrialization and customer expansion have been advancing rapidly.
    Nanda Optoelectronics achieved operating income of 595 million yuan in 2020, a year-on-year increase of 85.
    13%; net profit attributable to shareholders of listed companies was 87.
    016 million yuan, a year-on-year increase of 58.
    18%; Shanghai Xinyang Shanghai Xinyang's main business is divided into two categories, one is the research and development, production, sales and service of key process materials and supporting equipment for integrated circuit manufacturing and advanced packaging, and provide customers with integrated solutions Program.
    The other is the research and development, production and related services of environmentally friendly and functional coatings, and provides customers with professional overall coating business solutions.
    In 2020, Shanghai Xinyang achieved operating income of 694 million yuan, an increase of 8.
    25% year-on-year; net profit attributable to shareholders of listed companies was 274 million yuan, an increase of 30.
    44% year-on-year.
    5.
    Future Development Trend 5.
    1 PCB Printed Circuit Board With the overall eastward shift of the global PCB industry, my country has become the world's largest PCB photoresist production base.
    The PCB industry has low technical requirements for photoresist.
    The current localization rate has reached 50%, mainly in wet film and solder mask inks.
    Although the dry film technology content is high, wet film can be used in the production of non-high-precision PCBs.
    Line etching.
    5.
    2 Display Panel In terms of global LCD panel shipments in April this year, BOE’s market share reached 27.
    3%, ranking first in the world.
    As the global display panel production capacity shifts to the domestic market, the competition among display panel companies is fierce.
    Cost control and the increase in the amount of photoresist for display panels have prompted manufacturers to seek domestic photoresists and increase the demand for local display panel photoresists, especially for color/black light.
    The layout of the resist.
    5.
    3 In terms of semiconductors, the revenue of my country's integrated circuit industry has maintained a growth rate of more than 10%, which forces the upstream photoresist market to continue to expand.
    The production capacity of wafers below the 10nm process will gradually increase, which means that the demand for ArF/EUV photoresist will continue to increase due to the iteration of high-performance chips.
    At the same time, chip manufacturing technology increases the demand for photoresist for semiconductors.
    As the process size of chip transistors continues to decline, semiconductor photolithography technology is also constantly improving, which puts forward more stringent requirements for the resolution of photoresist, which will indirectly Promote the development of high-end photoresist industry with smaller exposure wavelength.
    5.
    In terms of performance and capacity of 4 chips, in order to improve the performance and capacity of chips, the device process technology is shifting from 2D architecture to 3D stacking architecture, especially in memory chip products, it is advancing to 200-layer 3D stacking.
    As the number of stacked layers increases, the number of photolithography masks will also increase simultaneously, which indirectly promotes the amount of semiconductor photoresist.
    6.
    Summary The localization trend of the photoresist industry is becoming more and more obvious.
    1.
    Domestic photoresist companies can look for opportunities around self-sufficiency + downstream industry growth.
    Large single products are the direction.
    Growing into a large company needs to benefit from its own excellent performance and the growth of downstream industries.
    2.
    Due to the serious shortage of supply in the international chip market, most downstream manufacturers have begun to order domestic chips to further promote the localization of my country's semiconductor industry.
    Enterprises can simultaneously follow up the localization layout to meet the downstream photoresist production needs.
    3.
    With the eastward shift of the global semiconductor industry, coupled with my country's continuously growing downstream demand and policy support.
    At the same time, domestic fabs have entered the peak of production.
    Due to the accompanying characteristics of semiconductor photoresist and downstream fabs, domestic photoresist manufacturers will directly benefit from the substantial expansion of fab manufacturing capacity.
    4.
    At present, there is a gap of nearly 40 years between my country's high-end photoresist and the global advanced level.
    Under the general trend of localization of semiconductors, domestic companies are expected to gradually break through the photoresist that matches the domestic IC manufacturing process and lay out domestic wafers in advance.
    The next generation process of the factory has formed the normal technological iteration rhythm of the semiconductor industry.
    This article is an English version of an article which is originally in the Chinese language on echemi.com and is provided for information purposes only. This website makes no representation or warranty of any kind, either expressed or implied, as to the accuracy, completeness ownership or reliability of the article or any translations thereof. If you have any concerns or complaints relating to the article, please send an email, providing a detailed description of the concern or complaint, to service@echemi.com. A staff member will contact you within 5 working days. Once verified, infringing content will be removed immediately.

    Contact Us

    The source of this page with content of products and services is from Internet, which doesn't represent ECHEMI's opinion. If you have any queries, please write to service@echemi.com. It will be replied within 5 days.

    Moreover, if you find any instances of plagiarism from the page, please send email to service@echemi.com with relevant evidence.